RE: [sv-bc] P1800 draft2 review: Annex A

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Thu Apr 05 2007 - 21:38:42 PDT
>Looking at this, I noted that blocking_assignment in A.6.2 and primary
in A.8.4 have 
>
>   [ implicit_class_handle . | class_scope | package_scope ] 
>
>instead of
>
>   [ implicit_class_handle . | package_scope ].
>
>Is the omission of class_scope correct here?

Good question.  See also --

   http://www.eda-stds.org/sv-ec/hm/3701.html
   http://www.eda-stds.org/sv-ec/hm/3795.html 

-- Brad

[ Replying to http://www.eda-stds.org/sv-bc/hm/5781.html .]

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Bresticker, Shalom
Sent: Thursday, April 05, 2007 8:29 PM
To: Coffin, Eric; sv-bc@eda-stds.org
Subject: RE: [sv-bc] P1800 draft2 review: Annex A

Hi,

> * Page 941. Change the title from "Formal syntax (1800-2005 Annex A)"
> to
> "Formal syntax (1800-2008 Annex A)".

[SB] The text in parentheses is noting the source of the text. The
source is Annex A of 1800-2005, so it is correct.


> * Page 975.  Change the first right hand side of the rule 
> 'variable_lvalue' in A.8.5 from:
> 
> [ implicit_class_handle . [ package_scope ] 
> hierarchical_variable_identifier select
> 
> to:
> 
> [ implicit_class_handle . | package_scope ] 
> hierarchical_variable_identifier select
> 
> This is just a change of '[' to '|' in the second l-bracket.

[SB] You are correct. Stu incorrectly changed it as part of Mantis 1495
and SV-AC already spotted this. 

Looking at this, I noted that
blocking_assignment in A.6.2 and primary in A.8.4 have 

[ implicit_class_handle . | class_scope | package_scope ] 

instead of

[ implicit_class_handle . | package_scope ].

Is the omission of class_scope correct here?

Thanks,
Shalom

--
This message has been scanned for viruses and dangerous content by
MailScanner, and is believed to be clean.



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Apr 5 21:39:14 2007

This archive was generated by hypermail 2.1.8 : Thu Apr 05 2007 - 21:39:29 PDT