Re: [sv-bc] P1800 draft2 review -- What's the scope of `define?

From: Steven Sharp <sharp_at_.....>
Date: Mon Apr 02 2007 - 14:24:38 PDT
>From: "Gran, Alex" <alex_gran@mentor.com>

>It looks like the text from 21.4.1 came from 1364 where the concept of
>'compilation units' didn't exist. Do we really want the sentence in
>21.4.1 to say something like this?
>
>        Once a text macro name has been defined, it can be used anywhere
>in the compilation unit where it is defined; There are
>        no other scope restrictions once inside the compilation unit.

That does seem sensible and consistent with other directives.

Steven Sharp
sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Apr 2 14:24:58 2007

This archive was generated by hypermail 2.1.8 : Mon Apr 02 2007 - 14:25:09 PDT