RE: [sv-bc] Importing a enum from a package don't import enum variable??

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Mar 13 2007 - 01:34:57 PDT
I filed this enhancement request as Mantis 1759, I did not see it in
current Mantis DB.

Shalom

> -----Original Message-----
> From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org]
> On Behalf Of Brad Pierce
> Sent: Sunday, March 11, 2007 12:56 AM
> To: sv-bc@server.eda-stds.org
> Subject: Re: [sv-bc] Importing a enum from a package don't import enum
> variable??
> 
> See http://www.eda-stds.org/sv-bc/hm/2461.html .
> 
> -- Brad
> 
> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
> Bresticker, Shalom
> Sent: Saturday, March 10, 2007 11:08 AM
> To: Greg Jaxon
> Cc: Sonjoy Mondal; sv-bc@eda.org
> Subject: RE: [sv-bc] Importing a enum from a package don't import enum
> variable??
> 
> When did you suggest that? I don't remember it. Is there a Mantis?
> 
> Shalom
> 
> 
> > -----Original Message-----
> > From: Greg Jaxon [mailto:Greg.Jaxon@synopsys.com]
> > Sent: Friday, March 09, 2007 10:46 PM
> > To: Bresticker, Shalom
> > Cc: Sonjoy Mondal; sv-bc@eda.org
> > Subject: Re: [sv-bc] Importing a enum from a package don't import
> enum
> 
> > variable??
> >
> > Shalom,
> >
> >     I've suggested adding a redundant scope for the enum labels
> which
> > anyone having a handle on the enum type can access using the scope
> > operator  "enum_T::label_V".  I it is the smartest way to integrate
> > many enum types in congested name spaces.  You can import
> > pkg::my_boolean and cleanly use my_boolean::FALSE without
> conflicting
> > with the FALSE teeth or FALSE flags you're already using.
> >
> > Greg
> >
> >
> > Bresticker, Shalom wrote:
> > > Both are correct.
> > >
> > > The difference is that the scope of the structure member names is
> > only
> > > with the structure, whereas the scope of enum value names is the
> > entity
> > > in which the enum is declared.
> > >
> > > Shalom
> > >
> > >> -----Original Message-----
> > >> From: owner-sv-bc@server.eda.org [mailto:owner-sv-
> > bc@server.eda.org]
> > >> On Behalf Of Sonjoy Mondal
> > >> Sent: Friday, March 09, 2007 1:36 PM
> > >> To: sv-bc@server.eda.org
> > >> Subject: [sv-bc] Importing a enum from a package don't import
> enum
> > >> variable??
> > >>
> > >> Hi,
> > >>
> > >> can you Please let me know that if an enum is defined in a
> package
> > >> then while importing the enum from that package in a module,the
> > >> enum variable should also be imported or not. According to LRM
> > >> P1800.2005
> > section
> > >> 19.2.2 it seems as it bug of LRM itself as it is showing that
> > >> importing a enum does not import the enum variable while for
> other
> > >> like
> > structure,
> > >> importing a structure import the structure member also so it
> seems
> > as
> > >> it
> > >> is  bug of LRM .
> > >>  so can you please let me know which one is correct.
> > >>
> > >> Thanks,
> > >> Dhiraj
> > >>
> > >>
> > >>
> > >> --
> > >> This message has been scanned for viruses and dangerous content
> by
> > >> MailScanner, and is believed to be clean.
> > >
> 
> --
> This message has been scanned for viruses and dangerous content by
> MailScanner, and is believed to be clean.
> 
> 
> 
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Mar 13 01:35:23 2007

This archive was generated by hypermail 2.1.8 : Tue Mar 13 2007 - 01:36:03 PDT