Re: [sv-bc] Importing a enum from a package don't import enum variable??

From: Greg Jaxon <Greg.Jaxon_at_.....>
Date: Fri Mar 09 2007 - 12:46:05 PST
Shalom,

    I've suggested adding a redundant scope for the enum labels
which anyone having a handle on the enum type can access using
the scope operator  "enum_T::label_V".  I it is the smartest
way to integrate many enum types in congested name spaces.  You
can import pkg::my_boolean and cleanly use my_boolean::FALSE without
conflicting with the FALSE teeth or FALSE flags you're already
using.

Greg


Bresticker, Shalom wrote:
> Both are correct.
> 
> The difference is that the scope of the structure member names is only
> with the structure, whereas the scope of enum value names is the entity
> in which the enum is declared.
> 
> Shalom
> 
>> -----Original Message-----
>> From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org]
>> On Behalf Of Sonjoy Mondal
>> Sent: Friday, March 09, 2007 1:36 PM
>> To: sv-bc@server.eda.org
>> Subject: [sv-bc] Importing a enum from a package don't import enum
>> variable??
>>
>> Hi,
>>
>> can you Please let me know that if an enum is defined in a package
>> then
>> while importing the enum from that package in a module,the enum
>> variable
>> should also be imported or not. According to LRM P1800.2005  section
>> 19.2.2 it seems as it bug of LRM itself as it is showing that
>> importing a
>> enum does not import the enum variable while for other like structure,
>> importing a structure import the structure member also so it seems as
>> it
>> is  bug of LRM .
>>  so can you please let me know which one is correct.
>>
>> Thanks,
>> Dhiraj
>>
>>
>>
>> --
>> This message has been scanned for viruses and
>> dangerous content by MailScanner, and is
>> believed to be clean.
> 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Mar 9 12:46:23 2007

This archive was generated by hypermail 2.1.8 : Fri Mar 09 2007 - 12:46:40 PST