[sv-bc] Importing a enum from a package don't import enum variable??

From: Sonjoy Mondal <sonjoy_at_.....>
Date: Fri Mar 09 2007 - 03:35:44 PST
Hi,

can you Please let me know that if an enum is defined in a package then
while importing the enum from that package in a module,the enum variable
should also be imported or not. According to LRM P1800.2005  section
19.2.2 it seems as it bug of LRM itself as it is showing that importing a
enum does not import the enum variable while for other like structure,
importing a structure import the structure member also so it seems as it
is  bug of LRM .
 so can you please let me know which one is correct.

Thanks,
Dhiraj



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Mar 9 03:38:59 2007

This archive was generated by hypermail 2.1.8 : Fri Mar 09 2007 - 03:39:22 PST