Re: [sv-bc] part selects on arbitrary expressions

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Wed Mar 07 2007 - 12:27:21 PST
>> reg signed [31:0] r,s;

>This view is also inconsistent with the treatment of  assign y = x +
s[63:0];
>Unless I am horribly mistaken, s is not first extended to 128 bits and
then truncated.

The MSB of that part-select is clearly out-of-range.  For example, the
following test case should display x's, not 0's.

module test;
reg [31:0] lhs;
reg [15:0] rhs;
initial begin
  rhs = 16'h0000;
  lhs = rhs[31:0];
  $displayb(lhs[31:16]);
end
endmodule 

-- Brad


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Mar 7 12:27:52 2007

This archive was generated by hypermail 2.1.8 : Wed Mar 07 2007 - 12:28:09 PST