[sv-bc] Agenda: Feb 5 SV-BC CC

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Sun Feb 04 2007 - 23:32:18 PST
SV-BC Committee Meeting
Date: Monday, February 05, 2007
Time: 09:00am-11:00am PST

Toll Free Dial In Number: (888)635-9997
International Access/Caller Paid Dial In Number: (763)315-6815
PARTICIPANT CODE: 53904

Agenda

+ Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt 
  
+ Review Minutes of Previous Meeting
 
  Brad to post minutes from last meeting. 

+ Review of Acknowledged Items

Divide responsibility to expedite closure:

SV-BC  49 items
V-1364 19 items

+ Schedule for 2007

  Jan 31 - Release of 1800 & 1364 drafts with all resolved issues
  Feb 20 - P1800 meeting at DVCon; Enhancements presented
  Mar 15 - 1st draft of merged LRM available

Now until Mar 15 to acknowledge LRM edits and address issues
and enhancements withoug merger distractions.  (1 meeting: 
Mar 5.,Feb 19 US holiday for some, propose meeting on Feb 20
or Feb 21)
  Mar 15 - 1st draft of merged LRM available

Now until Mar 15 to acknowledge LRM edits and address issues
and enhancements withoug merger distractions.  (1 meeting: 
Mar 5.,Feb 19 US holiday for some, propose meeting on Feb 20
or Feb 21)

  Apr 05 - P1800 meeting
  Apr 15 - Comments on merged LRM due

Mar 15 - Apr 15 to address issues in merged LRM.  May be able to
address a few more issues before May 24 P1800 meeting. (2 meetings:
March 19, April 9)

  Apr 30 - Internal draft of revised, merged LRM available
  May 24 - P1800 meeting to approve merged LRM
  Jun 04 - DAC - Release of merged LRM to IEEE
  Aug 01 - Freeze changes for Oct LRM draft

Apr 15 - Aug 01 to address issues (enhancements, merge issues, other)
(8 meetings)

  Aug 15 - Champions Meeting
  Sep 01 - P1800 Meeting
  Oct 01 - Intermediate LRM draft
  Nov 15 - Feature freeze passed by sub-committees
  Nov 30 - Champions meeting
  Dec 15 - Feature freeze by P1800

Proposed Plan

 Feb - Mar 15
 Acknowledge LRM Edits
 Enumerated Types (3)
 I/O Errata/Clarification (4)

 Apr 15 - May 28
 Compiler Directives (10)
 Namespaces (4)
 Name Resolution
 Merge Issues

 Jun 1 - Aug 1
 Compiler Directives (10)
 Configurations (1)
 Scheduling (2)
 SV-AC Enhancements
 Merge Issues

Open to reprioritization, new issues, or other input but want to
establish a plan before meeting adjourns.

Action Items

Pending
01/09/2006 Shalom add example for issue 1254 describing situation for
rule 3
03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard 
           to justify further action on SVDB 99

           Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
           Question: what about X expression in index of Vector or
           array expressions (a[i] where i === 'X).  Cliff to pursue
further
           action.

04/10/2006 Steven to create proposal for SVDB 1386
04/10/2006 Steven to create proposal for SVDB 1078
05/22/2006 Gord file issue regarding scoping & forward visibility in
$unit.

06/19/2006 Gord raise the issue of support of dynamic data in vcd to
SV-EC.
06/19/2006 Francoise check with SV-CC regariding suitability of data
read API
           to address debugging of "dynamic data" in lieu of vcd
extensions.
07/10/2006 Steve add bugnote to 1043 about specifics for resolution
07/31/2006 Steve add bug note to 1481 with details for a clearer
proposal
08/14/2006 Matt to forward request for timely updates to 1800 standard
to 1800
           committee and offer Dave's name if seeking participants to
enable.
09/25/2006 Matt add SVDB entry to request capability to packages: 
           import/export & non-exported (local) package declarations.
09/25/2006 Matt send feedback to sv-bc/sv-ac regarding SVDB 1601
10/09/2006 Gord/Dave: draft proposal to resolve SVDB 1484
10/09/2006 Brad: enhance 1554 proposal to prevent circularity of
expressions
           (address use or non-use of hierarchical references).
10/23/2006 Dave will add text and legal and illegal examples to clarify 
           issues covered by SVDB 1607
10/23/2006 Matt send example of use of forward typedef.
10/23/2006 Brad move SVDB 1609 to SV-EC
12/04/2006 Shalom to present compelling example of `begin_define --
`end_define
12/18/2006 Gord open Mantis item about expressibility/flexibility
problems of unique case
12/18/2006 Cliff open Mantis item about 'predefault' with compelling
examples

--
Matt Maidment
mmaidmen@ichips.intel.com
 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Feb 4 23:32:56 2007

This archive was generated by hypermail 2.1.8 : Sun Feb 04 2007 - 23:33:30 PST