[sv-bc] FW: [sv-cc] SV-CC Meeting minutes for 07/19/2006 -- VCD discussion

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Dec 18 2006 - 09:11:45 PST
-----Original Message-----
From: owner-sv-cc@server.eda-stds.org
[mailto:owner-sv-cc@server.eda-stds.org] On Behalf Of Charlie Dawson
Sent: Wednesday, August 02, 2006 5:22 AM
To: SV-CC
Subject: [sv-cc] SV-CC Meeting minutes for 07/19/2006

Minutes of 07/19/2006 SV-CC Meeting.

ATTENDEES
00000000000000000
66666666666665555
00000000000001111
76655443332212110
12021212101010302
91740629515157096
xxxxxxxxxxxxxxxxx Charles Dawson
-xx-x-xxxxxxx-xxx Ankur Kuchlous
xxxxxxxxxxxxxxxxx Ralph Duncan
xxx-xxxxxxxxxxxxx Jim Vellenga
-xxx-xxxxxxxxxxxx Steven Dovich
xxxx-xx-xxxxxx-xx Andrzej Litwiniuk
x-xxxxxxxxxxxx-xx Abigail Moorhouse
xx-xxxxxxxxx-xxxx Michael Rohleder
xxxxxxxxxxxxx---- Ghassan Khoory
xx-xxxxxx-------- Chuck Berking
x-xxxxxxx-------- Bassam Tabbara
xxxxxxxx--xxx---x Francoise Martinolle
---xxx----------- Amit Kohli
---------------x- Nasim Hussain
----------------x Sachchidananda Patel
--x--x--x-------- Stu Sutherland


1.  Reviewed Patent information.

   - Chas reviewed the patent information.


2.  Reviewed minutes of the 06/21/2006 Meeting.

     Ralph noted the following mistakes in the minutes:
     . New business section:
       . Mantis DB items in "acknowledged state": 313, 485
         .. 313 never closed: VPI and generate stmts matter
         .. 485 clarify thread vs. frame -- Charles asks me to check
w/D. Warmke
            (originator) to see if LRM changes handled this (this was
done).
     . Reviewed of items with proposals section:
       . Item 1488 Ralph seconded this proposal
       . Item 1482 we talked about it (esp. Francoise and I);
         Ralph explained that the companion Item 1481 (SV-BC)
         should be OK'd before we do anything with this.  Discussion was
suspended
         pending action from SV-BC.

   - Ralph/Andrzej.  ACCEPTED (unanimous) as modified.


3.  Liaisons

   - Francoise to report on PASSED SV-BC items
     Nothing to report.

   - No one reported on other meetings


4.  New business

   - VCD vs DB Read API discussion
     Doesn't support arrays/dynamic objs.  Has bad support for unpacked
struct.
     Some others such as strings, chandles need support.  Does it make
sense to
     have support for automatic variables?

     JimV moves that we recommend we should extend the VCD format to
support new
     SystemVerilog constructs, including support for dynamic objects.
Francoise
     second.  Approved (unanimous).  Francoise to send recommendation to
SV-BC.

   - Item 1385 Please document compatibility issues between 1364 and
1800 VPI

     Abby's question is how do we record the results of our discussion?
Can it
     go into informative section?  Anything that has to deal with
differences
     between two versions of the standard need to be in a different
document.
     But for things that are not clear in the specification, they should
just be
     fixed in the LRM.  Some things will just need clarifications,
others will
     need to be fixed in the LRM.  Each will need to be dealt with on an
     individual basis.  The term "slice" is used in section 5.4.  Slice
is an
     unpacked selection while a "part select" is a packed selection.

JimV/Abi.  Meeting ended at 1:03pm.

5.  Reviewed of items with proposals.

6.  Reviewed SV-CC items with proposals (Straw poll only).

7.  Old Business

8.  Action items

   - JimV to look into other things which can have size changes as
discussed in 0985.
   - Chas to send everyone the frame docs for the diagrams.
   - Chuck to write a document that describes the possible solutions for
the
     compatibility issues, and they're pros and cons.
   - Francoise and Bassam to continue work on assignment patterns.
   - Chas to send Michael a copy of the PAR proposal.
   - Francoise to send VCD recommendation to SV-BC.

9.  Items for consideration at the next meeting (they already have
proposals):

   - Item 0964 27.31 Assertion -- vpiAssertType should be vpiType
   - Item 1528 VPI value access not allowed on array regs? 10. Next
meeting

10. Next meeting
   The next SV-CC meeting will be on 08/02/2006.
   The next P1800 meeting will be on 08/03/2006.

--
Charles Dawson
Senior Engineering Manager
NC-Verilog Team
Cadence Design Systems, Inc.
270 Billerica Road
Chelmsford, MA  01824
(978) 262 - 6273
chas@cadence.com
Received on Mon Dec 18 09:12:00 2006

This archive was generated by hypermail 2.1.8 : Mon Dec 18 2006 - 09:13:04 PST