[sv-bc] type parameters questions

From: Francoise Martinolle <fm_at_.....>
Date: Tue Oct 17 2006 - 18:42:10 PDT
Is it legal to assign a interface datatype redefined locally to a type
parameter?
 
interface intf_i;

typedef int data_t;

endinterface

module sub(intf_i p)

typedef p.data_t my_data_t;

parameter type T = my_data_t;

my_data_t data;

// type of 'data' will be int when connected to interface above

endmodule

 

I assume that we can also do:

parameter type T = type (data); 

But can you also have an XMR (out of module reference as the expression
of the type operator?

parameter type T = type (top.u1.data);

 

Francoise

     
Received on Tue Oct 17 18:42:15 2006

This archive was generated by hypermail 2.1.8 : Tue Oct 17 2006 - 18:42:45 PDT