RE: [sv-bc] Re: [sv-ec] Query regarding Macro substitution

From: Misustin, Randy <ram_at_.....>
Date: Fri Aug 04 2006 - 00:20:02 PDT
I believe 1364-2005 enhanced macro names to now allow escaped identifiers. Thus:

`define \foo!  12

is now a legal macro definition of the macro name "\foo! ". If one wishes to use such a macro in the body of another macro, that could be accomplished in 1364-2005 by:


`define indexFoo(var) var[`\foo! ]
If I understand your proposal, you've wound up changing the meaning of the above macro. In fact, your proposal doesn't appear to allow a direct way to expand a macro named by an escaped identifier within another macro.
 
-randy.

________________________________

From: owner-sv-bc@server.eda-stds.org on behalf of Brad Pierce
Sent: Thu 8/3/2006 10:05 PM
To: sv-bc@server.eda-stds.org
Subject: [sv-bc] Re: [sv-ec] Query regarding Macro substitution


Following up on http://www.eda-stds.org/sv-ec/hm/3394.html <http://www.eda-stds.org/sv-ec/hm/3394.html>  , I have uploaded a proposal to Mantis for item 1537
 
       http://www.eda-stds.org/svdb/bug_view_page.php?bug_id=0001537
 
A copy of the proposal is attached.
 
-- Brad
Received on Fri Aug 4 00:20:07 2006

This archive was generated by hypermail 2.1.8 : Fri Aug 04 2006 - 00:20:22 PDT