RE: [sv-ec] RE: [sv-bc] 5.15.3 Array reduction methods - result type

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Jul 03 2006 - 02:09:14 PDT
But it does not break the rules for expressions.

sum() is not defined as an expression which is obtained by the
substitution of all the array elements separated by the + operator.

It is defined as a function which has a return type. 
There is no problem to have a function return type which is different
from the type of its arguments.

> If you start breaking the rules for expressions, the opposite problems
> will occur - people familiar with Verilog expression rules would
expect
> it to behave one way and it behave another way.

Shalom
Received on Mon Jul 3 02:10:17 2006

This archive was generated by hypermail 2.1.8 : Mon Jul 03 2006 - 02:11:47 PDT