RE: [sv-bc] Concat including enum as lvalue?

From: Jonathan Bromley <jonathan.bromley_at_.....>
Date: Tue Jun 20 2006 - 07:50:00 PDT
Dave,

> Enums are treated the same as unpacked structures for matching and
> equivalence rules. See 6.9.1 d). Section 6.9.3 defines assignment
> compatibility for those types that define an implicit cast, 
> and an enum
> type defines an implicit cast in *one direction* only. See 4.10.3.
> 
> Therefore,
>   {B2, E2} = B4;
> would require an implicit cast from a packed integral type to 
> an enum to be legal - no implicit cast is defined.

Many thanks for the quick clarification.  Looks like I
have a couple of bug reports to file, since two different
SV compilers failed to trap that error :-)
-- 
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223                   Email: jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web: http://www.doulos.com

This e-mail and any  attachments are  confidential and Doulos Ltd. reserves 
all rights of privilege in  respect thereof. It is intended for the use of 
the addressee only. If you are not the intended recipient please delete it 
from  your  system, any  use, disclosure, or copying  of this  document is 
unauthorised. The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.
Received on Tue Jun 20 07:50:31 2006

This archive was generated by hypermail 2.1.8 : Tue Jun 20 2006 - 07:50:35 PDT