RE: [sv-bc] Uninitialized enums?

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Jun 19 2006 - 23:02:08 PDT
Cliff,

This was Mantis 216.

Table 6-1 now says that the default value for an enum variable is the
default initial value of the base type.

This is a change from SV 3.1a, which specified that it would be the
first value in the enum list.

So the answers to your questions are 0 and X.

If the tools you see are giving the first value in the list, they are
probably still working by SV 3.1a.

Also see Mantis 957.

> If a 2-state enum variable is not initialized, does it go to 0, X or
> to the value of the first numerated name in the list?
> 
> If a 4-state enum variable is not initialized, does it go to X or to
> the value of the first enumerated name in the list?
> 
> I remember the debate. I do not remember the outcome. I cannot find
> the Mantis item for this question.
> 
> Vendors are currently giving different results for this.
 
Shalom
Received on Mon Jun 19 23:02:53 2006

This archive was generated by hypermail 2.1.8 : Mon Jun 19 2006 - 23:03:04 PDT