[sv-bc] ordered parameter overrides into named sequential blocks

From: Geoffrey.Coram <Geoffrey.Coram_at_.....>
Date: Fri Jun 16 2006 - 07:36:32 PDT
One may declare parameters in a named sequential block in 1364-2005

module example();
  parameter integer p1 = 1;
  real moduleVar;
  initial begin
    begin: myscope
      parameter real p2 = 2.3;
      real localVar = 1.5;
    end
    moduleVar = myscope.localVar;
  end
endmodule


My question is: can one override the parameters declared in the block
using an ordered list of overrides, eg,
  example #(4, 3.14) inst2();

Does this assign the value 3.14 to myscope.p2?

I don't see this specifically addressed by 
  12.2.2.1 Parameter value assignment by ordered list
but it seems logical to correlate the overrides with the declaration
order of parameters, regardless of whether they are declared at 
module scope or in a named block.

Thanks.
-Geoffrey
Received on Fri Jun 16 07:36:55 2006

This archive was generated by hypermail 2.1.8 : Fri Jun 16 2006 - 07:37:00 PDT