Re: [sv-bc] E-mail Vote: Closes Midnight June 16

From: Clifford E. Cummings <cliffc_at_.....>
Date: Fri Jun 16 2006 - 05:33:25 PDT
Cliff votes yes to all proposals.

Regards - Cliff

At 12:46 AM 6/2/2006, you wrote:
>Hi All.
>
>Below is the ballot for an SV-BC email vote.
>
>-You have 2 weeks to respond (Midnight PDT June 16)
>-An issue passes if there are zero NO votes and half of the eligible
>  voters respond with a YES vote.
>-If you vote NO on any issue, your vote must be accompanied by a reason.
>  The issue will then be up for discussion during a future conference
>call.
>
>As of the May 22nd meeting, the eligible voters are:
>
>
>Brad Pierce
>Shalom Bresticker
>Cliff Cummings
>Surrendra Dudani
>Mark Hartoog
>Francoise Martinolle
>Karen Pieper
>Dave Rich
>Steven Sharp
>Gordon Vreugdenhil
>Doug Warmke
>
>
>SVDB  515 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=515
>
>SVDB  969 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=969
>
>SVDB  981 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=981
>
>SVDB 1005 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1005
>
>SVDB 1137 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1137
>
>SVDB 1149 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1149
>
>SVDB 1154 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1154
>
>SVDB 1216 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1216
>
>SVDB 1227 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1227
>
>SVDB 1332 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1332
>
>SVDB 1377 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1377
>
>SVDB 1378 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1378
>
>SVDB 1380 _X__Yes   ___No
>http://www.verilog.org/svdb/bug_view_page.php?bug_id=1380
>
>--
>Matt Maidment
>mmaidmen@ichips.intel.com
>

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
Expert Verilog, SystemVerilog, Synthesis and Verification Training
Received on Fri Jun 16 05:33:32 2006

This archive was generated by hypermail 2.1.8 : Fri Jun 16 2006 - 05:33:45 PDT