Re: [sv-bc] Errata - variable declaration assignments

From: Steven Sharp <sharp_at_.....>
Date: Thu Jun 15 2006 - 16:06:01 PDT
>From: "Rich, Dave" <Dave_Rich@mentor.com>

>Also, the SystemVerilog 3.0 spec section 5.5  had the paragraph "Data
>declared in a static task, function or block defaults to a static
>lifetime and a local scope. If an initializer is used, the keyword
>static must be specified to make the code clearer." I think there was
>some confusion on when exactly the static keyword would be required.

Good point.  Perhaps the EC thought this was being required for all
initializers, rather than just in a static task, function or block.
That might account for their removing it.


>And then in section 6.6 I would add right after the automatic program
>example
>
> 
>
>"Any variable declared in task, function, or block where the default
>lifetime is static and has a declaration assignment shall have an
>explicit lifetime. This makes it clear that the initialization of the
>variable may be separate from the activation of the scope."

That text would to solve the problem.  Grammatically, it might
need to change to "static, and that has a declaration assignment,"
or "static, and having a declaration assignment,".  That would make
clear that it is the variable that has the declaration assignment,
not the lifetime or the task/function/block.

Steven Sharp
sharp@cadence.com
Received on Thu Jun 15 16:06:06 2006

This archive was generated by hypermail 2.1.8 : Thu Jun 15 2006 - 16:06:22 PDT