RE: [sv-bc] 22.13: display formats on unpacked data

From: Warmke, Doug <doug_warmke_at_.....>
Date: Tue Jun 06 2006 - 08:44:59 PDT
One other minor related issue is that it no specifier at all is
legal to use with unpacked arrays.  That leaves users no choice
but to manually iterated unpacked arrays and print each element.
 
Doug


________________________________

	From: owner-sv-bc@server.verilog.org
[mailto:owner-sv-bc@server.verilog.org] On Behalf Of Bresticker, Shalom
	Sent: Tuesday, June 06, 2006 5:36 AM
	To: sv-bc@server.verilog.org
	Subject: [sv-bc] 22.13: display formats on unpacked data
	
	
	  

	22.13 says,

	"- $display, $write, $fdisplay, $fwrite, $swrite, and their
variants

	- The integer % format specifiers (h, d, o, b, c, u, and z) may
be used with any of the

	SystemVerilog integral data types, including enumerated types
and packed aggregate data

	types. They shall not be used with any unpacked aggregate type."

	 

	 "- %u and %z format specifiers

	- For packed data, %u and %z are defined to operate as though
the operation were applied to the

	equivalent vector.

	- For unpacked struct data, %u and %z are defined to apply as
though the operation were

	performed on each member in declaration order.

	- For unpacked union data, %u and %z are defined to apply as
though the operation were

	performed on the first member in declaration order.

	-   %u and %z are not defined on unpacked arrays."

	 

	1.    There is an apparent contradiction, that the first part
seems to say that %u and %z, among others, "shall not be used with any
unpacked aggregate type", and the second part says that they can.

	 

	2.    If %u and %z can be defined for unpacked structs and
unions, why not %b, etc. ?

	 

	Shalom

	 

	 

	 

	Shalom Bresticker

	Intel Jerusalem LAD DA

	+972 2 589-6852

	+972 54 721-1033

	I don't represent Intel 

	 



image001.gif
Received on Tue Jun 6 08:44:36 2006

This archive was generated by hypermail 2.1.8 : Tue Jun 06 2006 - 08:44:57 PDT