[sv-bc] Agenda: June 05 SV-BC Meeting

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Sun Jun 04 2006 - 23:36:04 PDT
Hi All.  Gord raised some good issues for discussion.  See below.  

SV-BC Errata Committee Meeting
Date: Monday, June 05, 2006
Time: 09:00am-11:00am PDT

Toll Free Dial In Number: (888)635-9997
International Access/Caller Paid Dial In Number: (763)315-6815
PARTICIPANT CODE: 53904

Agenda

+Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt 
  
+ Review Minutes of Previous Meeting

  http://www.verilog.org/sv-bc/minutes/sv-bc_06_05_22.txt

+ Reminder: E-mail ballot due by Midnight PDT, June 16

+ Gord raised some issues for discussion:

 a) SVDB 1290, 219
    1) What should be allowed?
    2) What guarantees is a simulation required to give?
    3) Are there any interactions with 1364 issues

 b) In terms of i/o (and VCD), the main questions involve how to bound
the
    problem since, assumbably, no one wants to deal with dynamic types
in
    those domains.

 c) What "%m" is supposed to do for parameterized class specializations.

    Implementations certainly have diverged on this and we really should

    get something definitive stated.

 d) What are the SV-BC plans based on the new PAR:

http://www.verilog.org/sv-ieee1800/hm/att-0479/IEEE-SystemVerilog-PAR-05
0506.doc

    "This standardization project will further develop the current IEEE
    standard for SystemVerilog in order to meet the increasing usage of
the
    language as well as enabling consistent tool behavior from different

    vendors. The new revision of the standard will include resolutions
and
    clarifications to Errata and critical enhancements that will enable 
    successful usage of the hardware design and verification language. 
    Furthermore, and as SystemVerilog is a superset of Verilog, the new 
    revision will merge with Verilog 1364-2005 standard to ensure a
single
    reference manual for users and EDA vendors alike. The new standard
will
    also enable interoperability with existing languages such as VHDL
and
    SystemC, as well as integration w/ Analog Mixed Signal (AMS).

+ Issue Review

 Process:
 Identify subset of issues to focus committee effort
 Assign owners for the issues
 Owners bring issues to vote when draft of proposal complete
 Rebuttal to issues should come in the form of specific changes to
proposal

 Guidelines for issues:
 - Group would prefer not to address issues that complicate merging of
the 
   LRMs or require edits to both specs.  Group would prefer to address
more
   isolated errata until next PAR is set.
 - Try to clarify intent of some issues even if no formal resolution.
This
   would be useful for keeping implementations consistent.
 - Major Severity will be used to identify issues that if not addressed
will
   lead to visibly different implementations.
 - Group will use severity to quantify impact of change.
   Issues will be addressed in order of severity.
 - Priority will indicate issue's development progress.  Increase in
priority
   indicates increased progress to proposal and vote.  'Immediate'
priority
   indicates issue is ready for a vote.
 - Individuals will indicate ownership of resolution by adding
themselves
   to the 'assigned to' field.  Karen will help anyone who cannot update
an 
   issue.
 - Individual members can increase the severity of an issue but the
group 
   must agree to decrease severity.
 - Status Filed: Assigned, Resolved & Closed are key states. 
 
 Issue Themes

 Namespaces
 Issues: 30, 1213, 1214, 1220
 Primary Owner: Francoise
 Participants: Gord, Francoise, Mark, cc: Shalom, cc: Karen
 
 I/O Errata/Clarification
 Issues: 672, 1078, 1386: Steven ; 988: Gord
 Primary Owner: Steven
 Participants: Steven, Gord, Mark, Francoise
 
 Enumerated Types
 Issues: 916, 917, 1429 
 Primary Owner: Matt
 
 Configurations
 Issues: 986 (see bugnote for related items)
 Primary Owner: TBD (proposed as Cliff but he was not present)

 Scheduling
 Issues: 1290, 219
 Primary Owner: TBD (Gord; after first round of issues are addressed)

 Compiler Directives
 Issues: 1052, 1053, 1084, 1119, 1202, 1292, 1333, 1338, 1339, 1341
 Primary Owner: Shalom 

 Any other issues ready for vote?
 
+ Proposed Next Meeting Date: June 19th

Action Items
Complete
05/22/2006 Matt send out e-mail vote
05/22/2006 Shalom to update proposal for SVDB 1418, replacing ) with }

Pending
01/09/2006 Shalom add example for issue 1254 describing situation for
rule 3
03/13/2006 Matt Open up SV-BC Issue requesting an index for P1800
03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard 
           to justify further action on SVDB 99
04/10/2006 Steven to create proposal for SVDB 1386
04/10/2006 Steven to create proposal for SVDB 1078
04/24/2006 Matt identify any other threads of major issues
05/22/2006 Gord Second issue regarding scoping & forward visibility in
$unit.
05/22/2006 Gord Enter mantis item & deliver proposal to address name 
           resolution issues 
05/22/2006 Steven & Mark Review Gord's name resolution proposal.
05/22/2006 Brad search for non-local or "non local" in LRM.  File
another
           issue to correct if found.

--
Matt Maidment
mmaidmen@ichips.intel.com
 
Received on Sun Jun 4 23:35:38 2006

This archive was generated by hypermail 2.1.8 : Sun Jun 04 2006 - 23:35:44 PDT