RE: [sv-bc] $root

From: Rich, Dave <Dave_Rich_at_.....>
Date: Tue May 23 2006 - 04:58:54 PDT
  

$root is not equivalent to the top level instance; it is the root of the
instance tree. The root of a hierarchical tree structure is above all
top-level instances. So if you had to top level modules A and B, you can
unambiguously refer to both of them as $root.A and $root.B.

 

________________________________

From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On
Behalf Of Bresticker, Shalom
Sent: Tuesday, May 23, 2006 2:48 AM
To: sv-bc@server.eda.org
Subject: [sv-bc] $root

 

1800 19.4 says, "The name $root is added to unambiguously refer to a
top-level instance or to an instance path starting from the root of the
instantiation tree. $root is the root of the instantiation tree."

What if there is more than one top-level module/instance ?

Shalom



image001.gif
Received on Tue May 23 04:58:44 2006

This archive was generated by hypermail 2.1.8 : Tue May 23 2006 - 04:58:56 PDT