RE: [sv-bc] Re: Ballot Issue 228: SystemVerilog should include 2-value net datatypes

From: Clifford E. Cummings <cliffc_at_.....>
Date: Wed May 17 2006 - 18:22:34 PDT
Hi, All -

I have a paper on my web page that describes many of the issues 
related to 2-state simulation and some of the problems with the 
current implementation in SystemVerilog. My co-author was a 2-state 
Guru from HP (Lionel Bening) who had done 2-state simulations for 
about 10 years. Some of the important features are discussed in detail.

http://www.sunburst-design.com/papers/CummingsSNUG2004Boston_2StateSims.pdf

I have been working with a couple of HP folks (not recently) to get 
HP to donate to the IEEE (us!) the important patent referenced in the paper.

Regards - Cliff

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
Expert Verilog, SystemVerilog, Synthesis and Verification Training
Received on Wed May 17 18:22:27 2006

This archive was generated by hypermail 2.1.8 : Wed May 17 2006 - 18:22:45 PDT