[sv-bc] Top-level instance vs. top-level module

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Wed May 17 2006 - 02:28:48 PDT
  

1800 19.4 says, "The name $root is added to unambiguously refer to a
top-level instance or to an instance path starting from the root of the
instantiation tree. $root is the root of the instantiation tree."

However, the term "top level instance" is undefined. In fact, 1364 only
refers to "top level modules", not to "top level instances".

The note at the beginning of 1800 19.1 itself says, "Any uninstantiated
module is at the top level." The simple English meaning of that sentence
refers to a non-instance, not an instance. 1364 says the same.

We once discussed the idea of an implicit instance of an uninstantiated
module, but I think none of that ever entered the LRM.

Comments?

Thanks,

Shalom

 

 

 

Shalom Bresticker

Intel Jerusalem LAD DA

+972 2 589-6852

+972 54 721-1033

I don't represent Intel 

 



image001.gif
Received on Wed May 17 02:28:55 2006

This archive was generated by hypermail 2.1.8 : Wed May 17 2006 - 02:29:17 PDT