[sv-bc] Functions called from packages

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Thu Apr 13 2006 - 17:27:51 PDT
When a function is called from a package, but the function is not
declared in the scope of the package, is the function definition
searched for in the instance where the package is imported, and so on up
the instantiation hierarchy?

 

-- Brad

 
Received on Thu Apr 13 17:28:00 2006

This archive was generated by hypermail 2.1.8 : Thu Apr 13 2006 - 17:28:05 PDT