RE: [sv-bc] Issues with parameters which denote $

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Mar 14 2006 - 09:50:28 PST
It was also pointed out that in the example in 6.3.2.1, the following
lines are not legal within a generate:
 
if ((min_quiet == 0) && ($isunbounded(max_quiet))
	$display(warning_msg);

The standalone $display is not a legal generate block.

Shalom
Received on Tue Mar 14 09:50:43 2006

This archive was generated by hypermail 2.1.8 : Tue Mar 14 2006 - 09:50:49 PST