[sv-bc] Clock flow in SV assertion

From: Surya Pratik Saha <spsaha_at_.....>
Date: Tue Mar 07 2006 - 20:58:44 PST
Hi,
Some days ago I asked the precedence of @ operator in assertion rule. I did not get well defined answer, however by reading the clock flow section, it is understood that @ has the weakest precedence.

Considering the fact the given e.g. in LRM (page no. 282, e.g. d)

@(posedge clk) a ##1 @(posedge clk1) s1 |=> @(posedge clk2) s2;

will be parsed as:

@(posedge clk) a ##1 (@(posedge clk1) s1 |=> @(posedge clk2) s2);

So (@(posedge clk1) s1 |=> @(posedge clk2) s2) will become a property expression.

But as per assertion BNF, ## operator can not have property expression in RHS. So the e.g. given in LRM is wrong.

Please confirm.

-- 
Regards
Surya.
  Received on Tue Mar 7 20:57:37 2006

This archive was generated by hypermail 2.1.8 : Tue Mar 07 2006 - 20:59:30 PST