FW: [sv-bc] FW: [sv-ac] Porposal for errata 966

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Mar 06 2006 - 09:17:28 PST
-----Original Message-----
From: owner-sv-ac@eda.org [mailto:owner-sv-ac@eda.org] On Behalf Of
Eduard Cerny
Sent: Monday, March 06, 2006 6:51 PM
To: Maidment, Matthew R; sv-ac@eda.org
Subject: RE: [sv-bc] FW: [sv-ac] Porposal for errata 966

Hello Matt and AC members,

SV-AC is meeting on 3/14, so we'll have to carry the discussion about
$isunbounded by email.

As I mentioned, there was some disconnect when the function was defined.
I think that it may be sufficient to only provide a clarification in the
LRM, but not change the name of the $isunbounded function.
For example, something along the following lines:
- A range can be specified with a low and high bound enclosed by square
braces [ ] and separated by a colon ( : ), as in [low_bound:high_bound].
A bound specified by $ shall represent the lowest or highest value for
the type of the expression on the left-hand side.
- $ can also be used to indicate that the upper bound is open when used
in ## and * operators in assertions. 
- $ can be specified as the value of an integer parameter.
- The function $isunbounded can be used to test whether an integer
parameter has the value $. It returns a bit value of 1 if the parameter
has the value $. 
- $isunbounded would be used as a condition in a generate statement.

ed

> -----Original Message-----
> From: Maidment, Matthew R [mailto:matthew.r.maidment@intel.com] 
> Sent: Monday, March 06, 2006 2:21 AM
> To: Eduard Cerny
> Subject: RE: [sv-bc] FW: [sv-ac] Porposal for errata 966
> 
> The SV-BC will have a meeting on march 13th.  I'd like to close on it
> during that meeting.  In order to do so, I'd appreciate some
> feedback on Shalom's input so we can continue to educate ourselves
> off-line before trying to reach a conclusion.  
> 
> Thanks,
> 
> Matt 
> 
> >-----Original Message-----
> >From: Eduard Cerny [mailto:Eduard.Cerny@synopsys.com] 
> >Sent: Sunday, March 05, 2006 11:14 PM
> >To: Maidment, Matthew R; Eduard.Cerny@synopsys.COM
> >Subject: Re: [sv-bc] FW: [sv-ac] Porposal for errata 966
> >
> >Hi Mat, yes I saw the message. I have forwarded the issue to 
> >sv bc, asking to provide their view. The function was 
> >initially created for assertions and then generalized by 
> >another committee for ranges. It does seem that the name is 
> >then also inapproriate, but backward compatibility could be an 
> >problem if we change. Perhaps only clarification is needed. 
> >What do you suggest on behalf of sv bc?
> >
> >Rgrds, Ed
> >
> >
> >-----Original Message-----
> >From: Maidment, Matthew R <matthew.r.maidment@intel.com>
> >To: Eduard.Cerny@synopsys.COM <Eduard.Cerny@synopsys.COM>
> >Sent: Sun Mar 05 16:19:53 2006
> >Subject: FW: [sv-bc] FW: [sv-ac] Porposal for errata 966
> >
> >Hi Ed.  Did you & the SV-AC get this message?  Can someone comment?
> >
> >>-----Original Message-----
> >>From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of 
> >>Bresticker, Shalom
> >>Sent: Saturday, March 04, 2006 11:26 PM
> >>To: sv-bc@eda.org; sv-ac@eda.org
> >>Subject: [sv-bc] FW: [sv-ac] Porposal for errata 966
> >>
> >>
> >>
> >>-----Original Message-----
> >>From: Bresticker, Shalom
> >>Sent: Wednesday, February 22, 2006 12:28 PM
> >>To: 'Eduard Cerny'; sv-ac@eda.org
> >>Subject: RE: [sv-ac] Porposal for errata 966
> >>
> >>Ed,
> >>
> >>I have a couple of issues with $unbounded.
> >>This is part of Mantis 1350.
> >>
> >>6.3.2.1 says,
> >>
> >>"The value $ can be assigned to parameters of integer types. A 
> >>parameter to which $ is assigned shall only be used 
> wherever $ can be 
> >>specified as a literal constant."
> >>
> >>and
> >>
> >>"To support whether a constant is $, a system function is 
> provided to 
> >>test whether a constant is a $. The syntax of the system 
> function is 
> >>$isunbounded(const_expression); $isunbounded returns true if 
> >>const_expression is unbounded.
> >>Typically, $isunbounded would be used as a condition in the 
> generate 
> >>statement."
> >>
> >>What bothers me is that $ can be used for other things as well as 
> >>unbounded range specification.
> >>
> >>For example, 8.19 (Set membership) says,
> >>
> >>"A range can be specified with a low and high bound enclosed 
> >by square 
> >>braces [ ] and separated by a colon ( : ), as in 
> >>[low_bound:high_bound].
> >>A bound specified by $ shall represent the lowest or highest 
> >value for 
> >>the type of the expression on the left-hand side."
> >>
> >>So:
> >>
> >>Can I use a parameter set to $ in a range with 'inside' operator?
> >>
> >>Presumably, the parameter itself has no idea whether it is 
> >going to be 
> >>used in an assertion time range or in a set membership expression.
> >>Presumably, also, the $isunbounded function has no idea how the 
> >>const_expression argument is going to be used.
> >>
> >>Does this mean that the name '$isunbounded' is misleading and 
> >>represents only one possible meaning of $ ?
> >>
> >>Shalom
> >>
> >>
> >>> -----Original Message-----
> >>> From: owner-sv-ac@eda.org [mailto:owner-sv-ac@eda.org] On 
> Behalf Of 
> >>> Eduard Cerny
> >>> Sent: Tuesday, February 07, 2006 3:48 PM
> >>> To: sv-ac@eda.org
> >>> Subject: [sv-ac] Porposal for errata 966
> >>> 
> >>> Hi, I have added in Mantis a proposal for errata 996 and 
> a bug note.
> >>> Also see attached file.
> >>> ed
> >>> 
> >>> Reminder:
> >>> 
> >>> SV-AC meeting today at 9am PT, 12pm ET:
> >>> Dial in:
> >>>         Domestic: 888-635-9997
> >>>         International: 763-315-6815
> >>>         Participant: 2638198
> >>
> >
> 
Received on Mon Mar 6 09:17:43 2006

This archive was generated by hypermail 2.1.8 : Mon Mar 06 2006 - 09:18:17 PST