RE: [sv-bc] Covergroup Clocking Event?

From: Rich, Dave <Dave_Rich_at_.....>
Date: Wed Mar 01 2006 - 13:57:46 PST
The BNF states what is legal and the LRM text does not state any
restrictions.

coverage_event ::=
	clocking_event
	| @@( block_event_expression )

clocking_event ::=
	@ identifier
	| @ ( event_expression )

event_expression ::=
	[ edge_identifier ] expression [ iff expression ]
	| sequence_instance [ iff expression ]
	| event_expression or event_expression
	| event_expression , event_expression

> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Cliff
> Cummings
> Sent: Wednesday, March 01, 2006 1:45 PM
> To: sv-bc@eda.org
> Subject: [sv-bc] Covergroup Clocking Event?
> 
> Hi, All -
> 
> A couple of us were trying to determine if a covergroup clocking event
> could be a multi-event statement, such as:
> 
> covergroup cg1 @(a or b or posedge c); ...
> 
> A quick search of the LRM did not show any similar examples, but we
also
> did not find any specific prohibitions listed anywhere. Does anyone
have
> and answer or could anyone point us to the section that describes the
> prohibition?
> 
> Thanks - Cliff
Received on Wed Mar 1 13:57:52 2006

This archive was generated by hypermail 2.1.8 : Wed Mar 01 2006 - 13:58:02 PST