Re: [sv-bc] Aggregate / struct - 2 questions

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Mon Feb 27 2006 - 10:18:32 PST
Cliff,

My opinion is that you shouldn't add the 'packed' keyword to a struct
declaration unless you are compelled to use data objects of that type as
if they were of a simple bit-vector type, for example, as the operand to
an arithmetic operator.

It ought be legal to use the 'packed' keyword to bit-stream cast an
unpacked data object into a simple bit-vector type, for example, 

     if (packed'(st)) ...

-- Brad
Received on Mon Feb 27 10:18:38 2006

This archive was generated by hypermail 2.1.8 : Mon Feb 27 2006 - 10:19:13 PST