Re: [sv-bc] endtask/function/module/interface :identifier

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Wed Feb 22 2006 - 08:11:47 PST
  

Shalom,

Other end-bracketing keywords that can be followed by a matching
identifier are: endprogram, endclass, endpackage, endconfig,
endproperty, endsequence, endgroup, endprimitive, endclocking.

Also, don't forget named generate begin-end blocks.

-- Brad

________________________________

From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Bresticker, Shalom
Sent: Wednesday, February 22, 2006 6:52 AM
To: sv-bc@eda.org
Subject: [sv-bc] endtask/function/module/interface :identifier

 

Hi,

SV adds to the BNF the ability to follow an endtask, endfunction,
endmodule, and endinterface with ": identifier", as described in 10.8
for end at the end of a named block.

However, in contrast to 10.8, this ability seems nowhere to be described
in the text (except for endinterface), which is significant because it
is a change from Verilog.

 

Further, it does not seem to state anywhere that it shall be an error if
the identifier following the endxxx does not match the name of the
task/function/module/interface.

 

Shalom

 

Shalom Bresticker

Intel Jerusalem LAD DA

+972 2 589-6852

+972 54 721-1033

I don't represent Intel

 



image001.gif
Received on Wed Feb 22 08:12:00 2006

This archive was generated by hypermail 2.1.8 : Wed Feb 22 2006 - 08:12:46 PST