[sv-bc] The scope of import items

From: Surya Pratik Saha <spsaha_at_.....>
Date: Tue Feb 07 2006 - 21:37:28 PST
For the given e.g.

package p1;
typedef struct packed{byte r1 ; logic signed [4:0] r2;} st1;
endpackage

package p2;
import p1::*;
typedef struct packed{byte r1 ; logic signed [4:0] r2;} st2;
endpackage

module test;
import p1::*;
import p2::*;
st1 var1;
always @*
begin
    var1 = 1;
end
endmodule

item st1 is imported to p1 as well as in test. Is st1 is not visible to
test through import of p2 in test?

LRM does not clrearly state whether the imported items can be imported to other
scope or not if the importing scope is itself imported to somewhere else.

For the above e.g. if p2 iteself only imported to test, is st1 not visible to
test?

Regards
Surya.
Received on Tue Feb 7 21:36:17 2006

This archive was generated by hypermail 2.1.8 : Tue Feb 07 2006 - 21:39:31 PST