Re: [sv-bc] Typing of parameter assignments

From: Gordon Vreugdenhil <gordonv_at_.....>
Date: Tue Feb 07 2006 - 08:25:05 PST
Brad Pierce wrote:

> This is ETF issue
> 
>    http://www.boyd.com/1364_btf/report/full_pr/487.html
> 
> and backlog Mantis item
> 
>    http://eda.org/svdb/bug_view_page.php?bug_id=1065
> 
> IEEE Std 1800-2005.8.13 clearly states that the following are
> assignment-like contexts

Right, but "assignment like contexts" are only meaningful in the
context of an assignment pattern.

[...]
> and according to Shalom in http://www.eda.org/sv-bc/hm/3333.html
> 
>       "In the 1364 ETF, we discussed parameter initializations, and I
> believe the consensus was that they are evaluated in the same way as
> assignments. I.e., 'the bit size of the right-hand side expression of an
> assignment depends on itself and the size of the left-hand side.'
> (P1364-2005, sub-clause 5.4.1)."

Fine; we need to make sure that makes it into the LRM explicitly.

Also, no one has addressed defparam.  Since defparam is NOT
an assignment like context, under what rules does it operate?

For SV we can clearly ignore structs, etc (if we want) since
defparam is deprecated, but we should ensure for 1364-2001
constructs that the meaning is well understood.

Gord.

-- 
--------------------------------------------------------------------
Gordon Vreugdenhil                                503-685-0808
Model Technology (Mentor Graphics)                gordonv@model.com
Received on Tue Feb 7 08:25:09 2006

This archive was generated by hypermail 2.1.8 : Tue Feb 07 2006 - 08:25:34 PST