[sv-bc] Clarifications needed for imported identifier access

From: Rich, Dave <Dave_Rich_at_.....>
Date: Sat Feb 04 2006 - 01:03:53 PST
Issue 1323 <http://www.eda.org/svdb/bug_view_page.php?bug_id=0001323>
entered.

 

Add to the end of section 19.2.1 Referencing data in packages

 

When an identifier has been imported into a scope, that identifier shall
not be visible in any other scope except through another import or
package reference construct. You cannot hierarchically reference the
identifier as if it had been declared in importing scope, or chain
imports of package identifiers.

 

 

David Rich
Verification Technologist
Design Verification & Test Division
Mentor Graphics Corporation
dave_rich@mentor.com
Office:   408 487-7206
Cell:     510 589-2625

 
Received on Sat Feb 4 01:04:02 2006

This archive was generated by hypermail 2.1.8 : Sat Feb 04 2006 - 01:05:31 PST