Re: [sv-bc] Is member of recursive task/function hierarchically referred?

From: Steven Sharp <sharp_at_.....>
Date: Mon Jan 30 2006 - 14:40:07 PST
To echo what Shalom and the erratum said:

Whether a variable declared in a task/function can be referenced
hierarchically has nothing to do with whether that task/function
is called recursively or not.  It is based on whether that
task/function is declared automatic (which makes its variables
automatic).  A static variable can be referenced hierarchically,
but an automatic variable cannot.  It is that simple.

Now it isn't a good idea to call a task/function recursively or
reentrantly unless it is automatic, but that doesn't affect whether
the variables can b referenced hierarchically.  It just explains
why the term "recursive" was misused in some places in the LRM when
what was really meant was automatic.

Steven Sharp
sharp@cadence.com
Received on Mon Jan 30 14:40:21 2006

This archive was generated by hypermail 2.1.8 : Mon Jan 30 2006 - 14:41:00 PST