Re: [sv-bc] $bits question

From: Steven Sharp <sharp_at_.....>
Date: Wed Jan 18 2006 - 14:52:59 PST
>From: "Brad Pierce" <Brad.Pierce@synopsys.com>
>
>So you'd want to also restrict param_expression and
>constant_param_expression, forcing
>
>    parameter type T = type(logic [N-1:0]);
>
>in place of
>
>    parameter type T = logic [N-1:0];

I think it would improve consistency.  However, it isn't as significant
here, since you already have the keyword 'type' before the parameter,
making it clear that we can expect a datatype.  On the other hand, in
a parameter override, there isn't any local textual clue:

my_mod #(32, logic [N-1:0], P [N-2:0], enum {on, off}) m();

(Note that here P might be a parameter that you are taking a part-select
of, or a type that you are building another type from by adding more
dimensions.)

Steven Sharp
sharp@cadence.com
Received on Wed Jan 18 14:53:07 2006

This archive was generated by hypermail 2.1.8 : Wed Jan 18 2006 - 14:55:24 PST