Re: [sv-bc] packed array question

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Fri Dec 16 2005 - 17:26:02 PST
>You can still do
>
>bit [4:0][31:0] i;

But, according to the current BNF you can't do --

   bit [4:0] signed [31:0] i;

The BNF would make more sense as --

  packed_dimension ::=
      [ signing ] '[' constant_range ']'
   |  [ signing ] unsized_dimension

Signedness should be an attribute of a dimension.  Unlike VHDL,
SystemVerilog does not yet support multidimensional arrays (the ones
that can be unconstrained in more than one dimension), but only arrays
of arrays (the ones that can only be unconstrained in the
slowest-varying dimension).

-- Brad
Received on Fri Dec 16 17:26:08 2005

This archive was generated by hypermail 2.1.8 : Fri Dec 16 2005 - 17:26:37 PST