Re: [sv-bc] localparam declarations in V2K-style parameter port lists

From: Steven Sharp <sharp_at_.....>
Date: Fri Oct 28 2005 - 13:07:38 PDT
>p.s. By the way, localparams can be overridden, just indirectly, by
>overriding the parameters on which they depend.

That isn't overriding.  The value of the localparam is still the expression
it was defined with; that expression just has a different value than was
assumed before the parameters were overridden.

Nor does that make the localparam a part of the module interface, any
more than a local wire driven by an assignment from an input port is
part of the module interface.

Steven Sharp
sharp@cadence.com
Received on Fri Oct 28 13:07:44 2005

This archive was generated by hypermail 2.1.8 : Fri Oct 28 2005 - 13:08:57 PDT