Re: [sv-bc] localparam declarations in V2K-style parameter port lists

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Thu Oct 27 2005 - 16:59:41 PDT
I don't see any benefits that we're buying by making it hard to express
the module interface.  If the ANSI-style headers are inconvenient,
people just won't use them.

It's painful enough already that these headers require paren separators
intead of semicolon separators like the body, so that you can't, for
example, write

   module m(
     input [5:0] a, b, c;
     output [6:0] x, y, z;
   );
   ...

and stay within the official standard.

-- Brad

p.s. By the way, localparams can be overridden, just indirectly, by
overriding the parameters on which they depend.
Received on Thu Oct 27 16:59:47 2005

This archive was generated by hypermail 2.1.8 : Thu Oct 27 2005 - 17:00:06 PDT