[sv-bc] RE: [sv-ec] Question about labels on the end of methods

From: Rich, Dave <Dave_Rich_at_.....>
Date: Mon May 16 2005 - 08:18:44 PDT
Hi Chris,

This is more likely a question for the sv-bc. There is also syntax for an exported interface task that looks like

task i.foo
endtask : foo


The BNF says it should be just 'foo'

task_body_declaration ::=
[ interface_identifier . | class_scope ] task_identifier ( [ tf_port_list ] ) ;
{ block_item_declaration }
{ statement_or_null }
endtask [ : task_identifier ]

By I agree i.foo and in your example, Packet::foo would look better.

Dave





> -----Original Message-----
> From: owner-sv-ec@eda.org [mailto:owner-sv-ec@eda.org] On Behalf Of Chris
> Spear
> Sent: Monday, May 16, 2005 8:05 AM
> To: 'SV_EC List'
> Subject: [sv-ec] Question about labels on the end of methods
> 
> The label on the end of a block is a nice feature that replaces the
> comment that many people use.
> 
> The label on a method is its name:
> 
> 	task foo;
> 	endtask : foo
> 
> But what if the method is declared out-of-block?
> 
> 	task Packet::foo;
> 
> Which of the following is correct?
> 	endtask : foo
> or
> 	endtask : Packet::foo
> 
> To me, the second looks better, but looks aren't everything.
> 
> /*********************************************************
> Chris Spear              Verification Specialist
> Synopsys, Inc.           Phone 508-263-8114      ..  __@
> 377 Simarano Drive       Fax   508-263-8123        _`\<,_
> Marlboro, MA 01752 USA   Cell  508-254-7223    .. (*)/ (*)
> Spear_ f rom _Synopsys.com       http://Chris.Spear.net
> *********************************************************/
> 
> 
Received on Mon May 16 08:19:11 2005

This archive was generated by hypermail 2.1.8 : Mon May 16 2005 - 08:19:26 PDT