[sv-bc] Re: Special 1364 Meeting to resolve Issue 680 (Configs)

From: Clifford E. Cummings <cliffc_at_.....>
Date: Tue May 10 2005 - 09:31:00 PDT
Hi, All -

I could support such an option under the following conditions:

(1)     The already defined config, endconfig and cell are the defaults.
(2)     1364-2001+config (or whatever it is called) exchanges the keywords 
configuration, endconfiguration and cell name for config, endconfig and 
cell (I can't wait to see the BNF for this - it probably just has to be 
text and no BNF change)
(3)     Vendor can come up with their own command-line switch to change the 
default (but the switch is not required). As far as I am concerned, vendors 
could implement such a switch today without any modification to the standard.
(4)     We simultaneously pass the typo-changes proposal that I sent out on 
5/8/2005.

Again, if we don' fix the config use-model, then 99%+ of all designs are 
going to ignore configs even with the above modifications, which means we 
are spending a lot of cycles on an enhancement that in its current 
implementation is 99% worthless.

Regards - Cliff

At 08:26 PM 5/9/2005, Steven Sharp wrote:
>I still see a possible compromise that would allow configs in Verilog
>source files while reducing the keyword conflicts caused by some of
>the config keywords.
>
>We have added the `begin_keywords directive that "turns off" certain
>keywords to match older versions of the language.  We could add an
>additional version string that selects the keyword set that is allowed
>in Verilog-2001 source files but not in configs or library map files.
>This set of keywords would allow compiling almost all Verilog-1995
>source files, and all Verilog-2001 files that did not contain configs.
>It would be the more backward compatible dialect of Verilog-2001.
>There would be another version string that would reserve the same
>keywords plus the config and library map file keywords.  A possible
>set of version strings would be "1364-2001" and "1364-2001+configs"
>
>The same could be done for Verilog-2005, since it also doesn't have
>any problematic keywords except the ones in configs.  However, since
>the only difference between 2001 and 2005 is the uwire keyword, we
>could probably do without this.
>
>The main goal of having these two keyword sets is not so much that
>users will directly use the directives to get them.  After all, if they
>are willing to put directives in all their files, they can set the
>keywords appropriately for each file.  The goal is to define a dialect
>that users can use to compile all their Verilog files (1995 or 2001)
>without having to use any directives in them.  This dialect could be
>the default of a tool or could be chosen by command line option.  The
>`begin_keywords version string is just a way of defining this particular
>keyword set in the standard, and ensuring that all implementations
>support it.
>
>Steven Sharp
>sharp@cadence.com

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
Expert Verilog, SystemVerilog, Synthesis and Verification Training
Received on Tue May 10 09:35:41 2005

This archive was generated by hypermail 2.1.8 : Tue May 10 2005 - 09:35:44 PDT