[sv-bc] Config-keyword work-around - was: potential command line option

From: Clifford E. Cummings <cliffc_at_.....>
Date: Sun Apr 24 2005 - 10:38:26 PDT
Hi, All -

Another new subject line to separate out the issues.

The syntax of the `begin_keywords and `end_keywords directives are:
There is a reasonable workaround for the config keyword issue. Users can do 
the following (and vendors may even want to include an option or a "gen95" 
script to automatically generate this file)

filename: v95_files.v

`begin_keywords "1364-1995"
`include <verilog-95 file1>
`include <verilog-95 file2>
`include <verilog-95 file3>
...
`include <verilog-95 fileN>
`end_keywords

No new command line switches and no other changes would be required to the 
Verilog and SystemVerilog LRMs to make this work.

Regards - Cliff

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
Expert Verilog, SystemVerilog, Synthesis and Verification Training
Received on Sun Apr 24 10:42:54 2005

This archive was generated by hypermail 2.1.8 : Sun Apr 24 2005 - 10:43:17 PDT