RE: [sv-bc] Proposal on striking the 2 paragraphs

From: <Shalom.Bresticker_at_.....>
Date: Sat Apr 16 2005 - 12:53:31 PDT
Professional integrity requires me to admit that I can now see certain 
cases where it might be better for the always block not to be immediately
active. What I would really like is for an always construct which begins
with an @ delay control to become active immediately, whereas other always 
constructs should wait till the end of time 0.

Shalom


On Fri, 15 Apr 2005, Steven Sharp wrote:

> I would like to point out that the issue with initializers and
> combinational always @ blocks is not as big of a problem in most
> designs as it might appear at first.  In most cases, a variable
> initializer will not appear in the same module as a combinational
> always block.  Once there is a port connection between them, there
> will be at least one implicit continuous assignment to get the
> variable value through the port.  That generally provides enough
> delay that the always block will be waiting by the time the event
> shows up.
> 
> However, I don't think that justifies breaking all testcases that
> do wait directly on a variable that has an initializer.
> 
> Steven Sharp
> sharp@cadence.com
> 

-- 
Shalom.Bresticker @freescale.com                     Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
  
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Sat Apr 16 12:53:40 2005

This archive was generated by hypermail 2.1.8 : Sat Apr 16 2005 - 12:54:55 PDT