RE: [sv-bc] Proposal on striking the 2 paragraphs

From: <Shalom.Bresticker_at_.....>
Date: Wed Apr 13 2005 - 19:54:08 PDT
P1800 ??

Do you mean SV-BC ?

Does SV-BC have the authority to do so?

The 1364 Ballot Response Committee has not yet received any such notification.
Time is running out.

As Steven has pointed out, this still does not solve the problem with 
always @. To do that as much as possible requires that initializations create 
events. Maybe it is possible to treat always @* differently from always @,
which could solve the problem for always @* as well.

Shalom


On Wed, 13 Apr 2005, Mark Hartoog wrote:

> I believe in the Monday P1800 meeting we voted to ask 1364 to 
> add this into the 1364 LRM, because all real Verilog simulators
> must be doing this, otherwise contassigns to constants would
> not work:
> 
> wire w;
> assign w = 0; // no event to trigger 
> 
> We thought this more correctly belonged in 1364 rather than P1800.
> 
> 
> > -----Original Message-----
> > From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On 
> > Behalf Of Shalom.Bresticker@freescale.com
> > Sent: Wednesday, April 13, 2005 4:48 AM
> > To: Rich, Dave
> > Cc: sv-bc@eda.org
> > Subject: RE: [sv-bc] Proposal on striking the 2 paragraphs
> > 
> > By the way, wearing my hat as a standards writer, if it is 
> > not written in the LRM, then it does not exist. And if a tool 
> > executes these constructs at time 0, then it is possibly 
> > noncompliant to the written word of the LRM. The solution of 
> > course is to change the LRM in this case, because the 
> > execution at time 0 is REQUIRED to order to give the correct results.
> > 
> > Shalom
> > 
> > > > I think everyone whom I have heard an opinion is in 
> > agreement that 
> > > > all combinational constructs (continuous assignments, 
> > combinational 
> > > > primitives, etc) must all have executed at least once 
> > before the end 
> > > > of time 0.
> > > 
> > > So what is the problem to say explicitly that they execute 
> > like always_comb?
> > > In concept, they are exactly the same.
> > > It is a problem if they will execute before initializers and then 
> > > initalizers will not create events.
> > > I agree that we can separate between 'always @' and the other 
> > > combinational constructs.
> > 
> > -- 
> > Shalom.Bresticker @freescale.com                     Tel: 
> > +972 9  9522268
> > Freescale Semiconductor Israel, Ltd.                 Fax: 
> > +972 9  9522890
> > POB 2208, Herzlia 46120, ISRAEL                     Cell: 
> > +972 50 5441478
> >   
> > [ ]Freescale Internal Use Only      [ ]Freescale Confidential 
> > Proprietary
> > 
> 
> 

-- 
Shalom.Bresticker @freescale.com                     Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
  
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Wed Apr 13 19:54:26 2005

This archive was generated by hypermail 2.1.8 : Wed Apr 13 2005 - 19:54:54 PDT