Re: [sv-bc] FW: interpretation of priority if-else or case statement

From: Steven Sharp <sharp_at_.....>
Date: Wed Mar 30 2005 - 13:21:03 PST
>>      `define PARALLEL_CASE(expr, lhs, assign) \
>>             unique case (expr) default lhs assign 'x ;
>
>
>I really dislike this! Are you using continuous procedural assignments (the 
>assign keyword inside of a case statement) in these macro definitions?? We 
>deprecated these and they are not synthesizable.

No, he is using "assign" as a formal argument to the macro, which the user
will use to pass = or <=, depending on whether they are using blocking or
nonblocking assignments in this case statement.  Mind you, his syntax is
illegal, since "assign" is a keyword, and therefore not a legal identifier
to use as a macro formal argument.  It also makes this example confusing.

Steven Sharp
sharp@cadence.com
Received on Wed Mar 30 13:21:15 2005

This archive was generated by hypermail 2.1.8 : Wed Mar 30 2005 - 13:21:19 PST