[sv-bc] legal operators for unpacked arrays, structs, unions, classes?

From: Francoise Martinolle <fm_at_.....>
Date: Thu Feb 24 2005 - 19:51:01 PST
I cannot find anywhere in the LRM what are the operators which 
are allowed on unpacked structs, unpacked unions or classes.
For arrays only equality/inequality are allowed (section 5.2).
It also says that comparing aggregate expressions require that they have
equivalent types (section 8.15)
 
Presumably the only operators allowed as equality (==) and inequality (!=)
and true and false expressions of  a ternary conditional operator?
 
What about the case equality/inequality and the wild card
equality/inequality? 
Are they allowed on unpacked types?
 
Francoise
       '
 
 
 
 
Received on Thu Feb 24 19:51:05 2005

This archive was generated by hypermail 2.1.8 : Thu Feb 24 2005 - 19:51:31 PST