Re: [sv-bc] Proposal to make it easier to use packages with port declarations

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Wed Dec 01 2004 - 15:24:26 PST

Arturo,

Your suggestion makes good sense for full module declarations, but
I think it might still have some problems with respect to the extern
modules syntax of Section 18.7. It's worth exploring further though.

>the language of the LRM currently supports the notion that
>an import statement affects declarations in the scope that contains the
>import, regardless of the relative order of the declarations and imports
>statements (I believe the end of Section 18.2 supports this notion).

-- Brad
Received on Wed Dec 1 15:22:58 2004

This archive was generated by hypermail 2.1.8 : Wed Dec 01 2004 - 15:23:04 PST