Re: [sv-bc] Proposal for compatibility problems with mixed Verilog/SystemVerilog code

From: Geoffrey.Coram <Geoffrey.Coram@analog.com>
Date: Tue Nov 30 2004 - 06:24:59 PST

[attachment included this time]

Stu -
This is an interesting proposal, which could solve a number of
headaches. Would you object to extending it slightly to
include Verilog-AMS keywords, which are a superset of 1364-1995
keywords?

I would suggest three new values for "version_specifier":
"VerilogAMS-2.1" "VerilogAMS-2.2" and "VerilogAMS-latest"
I have attached a list of additional AMS keywords from the
most recent Accellera-approved AMS LRMs.

-Geoffrey

-- 
Geoffrey J. Coram, Ph.D.    Senior CAD Engineer     
Analog Devices, Inc.        Geoffrey.Coram@analog.com 
804 Woburn St., MS-422,     Tel (781) 937-1924
Wilmington, MA 01887        Fax (781) 937-1014

Verilog-AMS 2.1 additions to 1364-1995
--------------------------------------
abs
absdelay
acos
acosh
ac_stim
analog
analysis
asin
asinh
atan
atan2
atanh
branch
ceil
connectrules
cos
cosh
cross
ddt
discipline
driver_update
enddiscipline
endconnectrules
endnature
exclude
exp
final_step
flicker_noise
floor
flow
from
generate
genvar
ground
hypot
idt
idtmod
inf
initial_step
laplace_nd
laplace_np
laplace_zd
laplace_zp
last_crossing
limexp
ln
log
max
min
nature
net_resolution
noise_table
potential
pow
sin
sinh
slew
sqrt
tan
tanh
timer
transition
white_noise
wreal
zi_nd
zi_np
zi_zd
zi_zp

Verilog-AMS 2.2 additions to 1364-1995
--------------------------------------
(all the above, plus:)
above
aliasparam
ddx
endparamset
localparam
paramset
string
Received on Tue Nov 30 06:25:08 2004

This archive was generated by hypermail 2.1.8 : Tue Nov 30 2004 - 06:25:21 PST