RE: [sv-bc] Minutes: Nov 23 SV-BC CC

From: Francoise Martinolle <fm@cadence.com>
Date: Mon Nov 29 2004 - 07:43:40 PST

 
Do we have a meeting on Tuesday the 30? If so, what time would this meeting
be.

Francoise
    '

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Maidment, Matthew R
Sent: Wednesday, November 24, 2004 3:34 AM
To: Warmke, Doug; sv-bc@eda.org
Subject: RE: [sv-bc] Minutes: Nov 23 SV-BC CC

Thanks for reviewing the status, Doug. This is very helpful.
I will take it into account when assembling the agenda for Tuesday's
meeting.

With only one meeting left before our deadline we have our work cut out for
us. I'd prefer everyone focus on immediate priority issues as much as
possible.

Matt

>-----Original Message-----
>From: Warmke, Doug [mailto:doug_warmke@mentorg.com]
>Sent: Tuesday, November 23, 2004 11:11 PM
>To: Maidment, Matthew R; sv-bc@eda.org
>Subject: RE: [sv-bc] Minutes: Nov 23 SV-BC CC
>
>Matt, Team,
>
>I decided to dig into the issues listed in the minutes and take a
>general inventory of Mantis going into the last week of this
>standardization round.
>
>First, the "Others":
>
> Others: 14, 91, 94, 100, 102, 146, 169, 212, 276, 285,
> 286 (steven noted this may be ready for next meeting)
>
>Here is what I found in the Mantis DB as of 11/23/04:
> 14 - Resolved
> 91 - Owned by Brad,
> appears related to issue 38 about evaluating system
> task args (or not) at elaboration time
> 94 - Resolved
> 100 - Resolved
> 102 - Resolved
> 146 - Resolved
> 169 - Owned by Brad,
> "modport task/function prototypes incompletely specified"
> 212 - Resolved
> 276 - Resolved
> 285 - Passed today 11/23/04, can move to Resolved
> Initialization of unions
> 286 - Owned by Steven
> Initialization of packed structs.
> Seems to have become a non-issue with the passing of 216.
>
>Matt, I think you can reduce the "Others" list substantially, and
>promote the remaining ones to the top of the agenda for next meeting.
>
>Second, the "Issues with Proposals":
> 19: Brad Proposal uploaded - short
> 23: Nikhil Proposal uploaded - long
> 27: Dave Proposal uploaded - discuss
> 36 Matt Proposal uploaded - discuss
> 37: Brad Informal proposal in issue - discuss
> 38: Dave Proposal uploaded - discuss
> 74: Nikhil Proposal Uploaded - medium
> 92: Surrendra Proposal Updloaded - short
> 218: Brad Proposal uploaded
> 223: Brad Proposal uploaded
> 226: Brad Proposal uploaded, needs more discussion?
> 258: Brad Proposal uploaded
> 315: Doug Proposal Uploaded
>
>Doug's quick analysis:
> 19 easy typo
> 23 format args for new SV data types
> 27 data type vs. simple type
> 36 clarifications on type parameters. Ready for vote.
> 37 require type indicator before range argument in port list?
> 38 this is the one about the $bits argument
> 74 looks ready to vote
> 92 trivial, ready for vote
>218 unique case refinements.
>223 looks easy and ready to vote
>226 is the issue about warning vs. error for "unique".
> Seems like there is consensus to get things consistently
> specified to "warning" for this round of the LRM.
> We should vote this one.
>258 has been resolved, can remove from this list.
>315 ready for vote, but needs discussion about deprecating old form
>
>In addition, item 302 isn't listed, but it has a proposal and is ready
>to vote.
>
>There are 22 SV-BC items still in the "new" category.
>Perhaps the SV-BC members can look through this brief catelogue of the
>items and see if anything important is about to slip through the cracks
>in this round. If so, perhaps some Thanksgiving weekend proposal work
>could solve the problem ;^)
>
>0000268 10-24-04 Terminology for continuous assign versus driver
>0000249 09-27-04 masked bit operation
>0000225 09-02-04 Definition of term "blocking statement"
>0000221 09-13-04 Warning on reg a = 0 ?
>0000215 09-24-04 Scheduling of variable initialization 0000210
>09-01-04 Allow use of generate in module port list
>0000167 08-30-04 Interfaces: How to parameterize and synthesize
>0000154 08-06-04 Jeita 29: Dual Data Rate needed in always_ff
>0000152 08-22-04 Jeita 27: Another option for size
>0000151 08-22-04 Jeita 26: optional rule to specify size in 4.2
>0000107 08-06-04 SV-BC Issue 32: Erratum and PROPOSAL (BNF) -- local
>redefinition of types declared in interfaces
>0000106 08-06-04 SV-BC Issue 31: Errata or simple proposal for task,
>function, property, sequencearguments.
>0000105 08-06-04 SV-BC Issue 30: Attributes missing from a few places
>(not modports)
>0000104 08-06-04 SV-BC Issue 29: VCD dumping for all types
>0000099 11-02-04 SV-BC Issue 15: 1341 2-state wildcard for case-items
>(in case, casez, and casex)
>0000098 08-06-04 SV-BC Issue 14: Calling/Instantiation convention
>issues
>0000097 08-06-04 SV-BC Issue 11: 1224 Suggestions for additional
>typedef syntax
>0000096 08-06-04 SV-BC Issue 10: 1217 Erratta or simple proposal for
>task, function, property,sequence argumen
>0000095 08-06-04 SV-BC Issue 7: 1145 Moving .* and .name to section
>18.8
>0000075 08-06-04 Allow imported functions/tasks to use names local to
>importing module
>0000042 08-06-04 Should'nt specparam have datatypes other than scalar
>or vector 0000030 08-06-04 generate block name space
>
>
>Regards,
>Doug
>
>> -----Original Message-----
>> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
>> Maidment, Matthew R
>> Sent: Tuesday, November 23, 2004 6:32 PM
>> To: sv-bc@eda.org
>> Subject: [sv-bc] Minutes: Nov 23 SV-BC CC
>>
>> The minutes have been posted:
>>
>> http://www.eda.org/sv-bc/minutes/sv-bc_04_11_23.txt
>>
>> Matt
>> --
>> Matt Maidment
>> mmaidmen@ichips.intel.com
>>
>>
>>
>
Received on Mon Nov 29 07:43:46 2004

This archive was generated by hypermail 2.1.8 : Mon Nov 29 2004 - 07:44:16 PST