Re: [sv-bc] SV_BC #26 - Enumerated Literals in Packages - Feedback Requested

From: Steven Sharp <sharp@cadence.com>
Date: Tue Nov 23 2004 - 18:15:50 PST

Didn't we decide that importing an enum type did not automatically import
the enum literals? That seems to be the gist of the emails on this erratum.

Steven Sharp
sharp@cadence.com
Received on Tue Nov 23 18:15:53 2004

This archive was generated by hypermail 2.1.8 : Tue Nov 23 2004 - 18:15:58 PST