RE: [sv-bc] The "var" keyword

From: <Shalom.Bresticker@freescale.com>
Date: Tue Nov 16 2004 - 12:16:04 PST

1364 3.2.2 says,
"A variable is an abstraction of a data storage element. A variable shall
store a value from one assignment to the next."

Nothing like,
"a reg declaration creates a behavioral driver for a net"

Shalom

Shalom
On Tue, 16 Nov 2004, Kevin Cameron wrote:

>
> In what way does it not work like that?
>
> One of the main reasons I'm involved in this committee is to try and
> make sure that SV does not become incompatible with Verilog-AMS, and to
> do that there needs to be a clear understanding of what constitutes a
> driver and what its type is vs the type of the net. The reg statement in
> Verilog (and hence Verilog-AMS) defines a digital driver.
>
> If SV loses the explicit declaration of drivers and goes for some fuzzy
> approach then it becomes very difficult to make AMS work properly (back
> annotation of timing also becomes awkward).
>
> Kev.
>
> -----Original Message-----
> Shalom.Bresticker@freescale.com
>
> Kevin,
>
> > "reg" doesn't do what you say. In Verilog a reg declaration creates a
> > behavioral driver for a net, if the net is not connected out of the
> > module then it looks like a variable. The type of the driver is
> 4-state
> > - which is the data-type "logic" in SV - the net can support the
> extend
> > range of signal strengths so is not actually restricted to 4-state.
>
> This may be the way you would like to look at it, but that is not what
> the IEEE Std 1364 Verilog LRM says. You will not find there any
> statement
> supporting that interpretation. Nor do implementations work that way.
>
> Now it may be that different interpretations are equivalent in current
> Verilog. But certainly, Kathy's is closer to the language of the LRM
> and therefore simpler and more straightforward. So you can't claim that
> your interpretation is right and hers is wrong.
>
> Regards,
> Shalom
>
>

-- 
Shalom Bresticker                        Shalom.Bresticker @freescale.com
Design & Verification Methodology                    Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
  
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Tue Nov 16 12:16:13 2004

This archive was generated by hypermail 2.1.8 : Tue Nov 16 2004 - 12:16:18 PST