[sv-bc] Unpacked unions containing unpacked structs

From: Warmke, Doug <doug_warmke@mentorg.com>
Date: Tue Oct 26 2004 - 17:29:51 PDT

Hi SV-BC,

I entered yet another Mantis item (273) + a proposal.
This item originated in SV-CC, where we had a discussion
about binary layout compatability between simulators.

One item that fell out of this discussion was portability
of source code across simulators when an unpacked union
contains several unpacked structs with common initial fields.

It was pointed out to me that C has this same problem.
We looked up in the ISO C99 standard to see how it was
handled, and I have fielded a similar proposal for
SystemVerilog. It's pretty trivial and basically
just common sense. Let me know if you see any
improvements that could be made.

   http://www.eda.org/svdb/bug_view_page.php?bug_id=0000273

Regards,
Doug
Received on Tue Oct 26 17:29:52 2004

This archive was generated by hypermail 2.1.8 : Tue Oct 26 2004 - 17:30:22 PDT