[sv-bc] Possible errata in LRM 3.1a section 3.16, bit stream casting

From: Stuart Sutherland <stuart@sutherland-hdl.com>
Date: Mon Aug 30 2004 - 06:03:58 PDT

LRM 3.1a Section 3.16 has the following paragraph (*** added for emphasis):

"If both source_t and dest_t are fixed sized ***unpacked*** types of
different sizes then a cast generates a compile-time error."

The examples that follow all contain an int type as either the source or
destination. Wouldn't the int type be considered a packed type? Yet the
paragraph specifically states the rules are for unpacked types. Either the
examples are wrong, or the word "unpacked" in the description of the rule is
wrong.

Stu
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Stuart Sutherland Sutherland HDL Inc.
stuart@sutherland-hdl.com 22805 SW 92nd Place
phone: 503-692-0898 Tualatin, OR 97062

Sutherland HDL, Inc. -- Training Engineers to be Verilog, SystemVerilog
and VHDL Wizards! http://www.sutherland-hdl.com
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Received on Mon Aug 30 06:04:39 2004

This archive was generated by hypermail 2.1.8 : Mon Aug 30 2004 - 06:04:43 PDT